set_property PACKAGE_PIN N22 [get_ports {BUSY_IN_N[1]}] set_property PACKAGE_PIN N24 [get_ports {BUSY_IN_N[10]}] set_property PACKAGE_PIN R20 [get_ports {BUSY_IN_N[12]}] set_property PACKAGE_PIN R23 [get_ports {BUSY_IN_N[13]}] set_property PACKAGE_PIN AE25 [get_ports {BUSY_IN_N[14]}] set_property PACKAGE_PIN U25 [get_ports {BUSY_IN_N[15]}] set_property PACKAGE_PIN M22 [get_ports {BUSY_IN_N[16]}] set_property PACKAGE_PIN M26 [get_ports {BUSY_IN_N[2]}] set_property PACKAGE_PIN W21 [get_ports {BUSY_IN_N[3]}] set_property PACKAGE_PIN L24 [get_ports {BUSY_IN_N[4]}] set_property PACKAGE_PIN K26 [get_ports {BUSY_IN_N[6]}] set_property PACKAGE_PIN AD24 [get_ports {BUSY_IN_N[7]}] set_property PACKAGE_PIN AB25 [get_ports {BUSY_IN_N[8]}] set_property PACKAGE_PIN V24 [get_ports {BUSY_IN_N[81]}] set_property PACKAGE_PIN AF22 [get_ports {BUSY_IN_N[82]}] set_property PACKAGE_PIN AA22 [get_ports {BUSY_IN_N[83]}] set_property PACKAGE_PIN N23 [get_ports {BUSY_IN_N[84]}] set_property PACKAGE_PIN W24 [get_ports {BUSY_IN_N[88]}] set_property PACKAGE_PIN AC21 [get_ports {BUSY_IN_N[89]}] set_property PACKAGE_PIN P18 [get_ports {BUSY_IN_N[9]}] set_property PACKAGE_PIN AB24 [get_ports {BUSY_IN_N[90]}] set_property PACKAGE_PIN AE26 [get_ports {BUSY_IN_N[91]}] set_property PACKAGE_PIN M19 [get_ports {BUSY_IN_N[95]}] set_property PACKAGE_PIN W26 [get_ports {BUSY_IN_N[96]}] set_property PACKAGE_PIN N21 [get_ports {BUSY_IN_P[1]}] set_property PACKAGE_PIN P24 [get_ports {BUSY_IN_P[10]}] set_property PACKAGE_PIN T20 [get_ports {BUSY_IN_P[12]}] set_property PACKAGE_PIN R22 [get_ports {BUSY_IN_P[13]}] set_property PACKAGE_PIN AD25 [get_ports {BUSY_IN_P[14]}] set_property PACKAGE_PIN U24 [get_ports {BUSY_IN_P[15]}] set_property PACKAGE_PIN M21 [get_ports {BUSY_IN_P[16]}] set_property PACKAGE_PIN N26 [get_ports {BUSY_IN_P[2]}] set_property PACKAGE_PIN V21 [get_ports {BUSY_IN_P[3]}] set_property PACKAGE_PIN M24 [get_ports {BUSY_IN_P[4]}] set_property PACKAGE_PIN K25 [get_ports {BUSY_IN_P[6]}] set_property PACKAGE_PIN AD23 [get_ports {BUSY_IN_P[7]}] set_property PACKAGE_PIN AA25 [get_ports {BUSY_IN_P[8]}] set_property PACKAGE_PIN V23 [get_ports {BUSY_IN_P[81]}] set_property PACKAGE_PIN AE22 [get_ports {BUSY_IN_P[82]}] set_property PACKAGE_PIN Y22 [get_ports {BUSY_IN_P[83]}] set_property PACKAGE_PIN P23 [get_ports {BUSY_IN_P[84]}] set_property PACKAGE_PIN W23 [get_ports {BUSY_IN_P[88]}] set_property PACKAGE_PIN AB21 [get_ports {BUSY_IN_P[89]}] set_property PACKAGE_PIN R18 [get_ports {BUSY_IN_P[9]}] set_property PACKAGE_PIN AA23 [get_ports {BUSY_IN_P[90]}] set_property PACKAGE_PIN AD26 [get_ports {BUSY_IN_P[91]}] set_property PACKAGE_PIN N18 [get_ports {BUSY_IN_P[95]}] set_property PACKAGE_PIN W25 [get_ports {BUSY_IN_P[96]}] set_property PACKAGE_PIN E23 [get_ports {CLK_IN_K1_N}] set_property PACKAGE_PIN F22 [get_ports {CLK_IN_K1_P}] set_property PACKAGE_PIN AB10 [get_ports {CLK_OUT_N[1]}] set_property PACKAGE_PIN AD9 [get_ports {CLK_OUT_N[10]}] set_property PACKAGE_PIN V7 [get_ports {CLK_OUT_N[12]}] set_property PACKAGE_PIN AD8 [get_ports {CLK_OUT_N[13]}] set_property PACKAGE_PIN AF17 [get_ports {CLK_OUT_N[14]}] set_property PACKAGE_PIN AF12 [get_ports {CLK_OUT_N[15]}] set_property PACKAGE_PIN Y10 [get_ports {CLK_OUT_N[16]}] set_property PACKAGE_PIN AB5 [get_ports {CLK_OUT_N[2]}] set_property PACKAGE_PIN W19 [get_ports {CLK_OUT_N[3]}] set_property PACKAGE_PIN AB9 [get_ports {CLK_OUT_N[4]}] set_property PACKAGE_PIN AF9 [get_ports {CLK_OUT_N[6]}] set_property PACKAGE_PIN Y16 [get_ports {CLK_OUT_N[7]}] set_property PACKAGE_PIN AB15 [get_ports {CLK_OUT_N[8]}] set_property PACKAGE_PIN AE5 [get_ports {CLK_OUT_N[81]}] set_property PACKAGE_PIN AC3 [get_ports {CLK_OUT_N[82]}] set_property PACKAGE_PIN AA2 [get_ports {CLK_OUT_N[83]}] set_property PACKAGE_PIN Y1 [get_ports {CLK_OUT_N[84]}] set_property PACKAGE_PIN U1 [get_ports {CLK_OUT_N[88]}] set_property PACKAGE_PIN AE1 [get_ports {CLK_OUT_N[89]}] set_property PACKAGE_PIN AE20 [get_ports {CLK_OUT_N[9]}] set_property PACKAGE_PIN Y5 [get_ports {CLK_OUT_N[90]}] set_property PACKAGE_PIN W8 [get_ports {CLK_OUT_N[91]}] set_property PACKAGE_PIN V6 [get_ports {CLK_OUT_N[95]}] set_property PACKAGE_PIN AF20 [get_ports {CLK_OUT_N[96]}] set_property PACKAGE_PIN AA10 [get_ports {CLK_OUT_P[1]}] set_property PACKAGE_PIN AC9 [get_ports {CLK_OUT_P[10]}] set_property PACKAGE_PIN V8 [get_ports {CLK_OUT_P[12]}] set_property PACKAGE_PIN AC8 [get_ports {CLK_OUT_P[13]}] set_property PACKAGE_PIN AE17 [get_ports {CLK_OUT_P[14]}] set_property PACKAGE_PIN AE12 [get_ports {CLK_OUT_P[15]}] set_property PACKAGE_PIN Y11 [get_ports {CLK_OUT_P[16]}] set_property PACKAGE_PIN AA5 [get_ports {CLK_OUT_P[2]}] set_property PACKAGE_PIN W18 [get_ports {CLK_OUT_P[3]}] set_property PACKAGE_PIN AA9 [get_ports {CLK_OUT_P[4]}] set_property PACKAGE_PIN AF10 [get_ports {CLK_OUT_P[6]}] set_property PACKAGE_PIN Y15 [get_ports {CLK_OUT_P[7]}] set_property PACKAGE_PIN AB14 [get_ports {CLK_OUT_P[8]}] set_property PACKAGE_PIN AE6 [get_ports {CLK_OUT_P[81]}] set_property PACKAGE_PIN AC4 [get_ports {CLK_OUT_P[82]}] set_property PACKAGE_PIN AA3 [get_ports {CLK_OUT_P[83]}] set_property PACKAGE_PIN W1 [get_ports {CLK_OUT_P[84]}] set_property PACKAGE_PIN U2 [get_ports {CLK_OUT_P[88]}] set_property PACKAGE_PIN AD1 [get_ports {CLK_OUT_P[89]}] set_property PACKAGE_PIN AD20 [get_ports {CLK_OUT_P[9]}] set_property PACKAGE_PIN Y6 [get_ports {CLK_OUT_P[90]}] set_property PACKAGE_PIN V9 [get_ports {CLK_OUT_P[91]}] set_property PACKAGE_PIN U7 [get_ports {CLK_OUT_P[95]}] set_property PACKAGE_PIN AF19 [get_ports {CLK_OUT_P[96]}] set_property PACKAGE_PIN P25 [get_ports {DATA_IN_N[1]}] set_property PACKAGE_PIN P20 [get_ports {DATA_IN_N[10]}] set_property PACKAGE_PIN N17 [get_ports {DATA_IN_N[12]}] set_property PACKAGE_PIN T17 [get_ports {DATA_IN_N[13]}] set_property PACKAGE_PIN R17 [get_ports {DATA_IN_N[14]}] set_property PACKAGE_PIN U20 [get_ports {DATA_IN_N[15]}] set_property PACKAGE_PIN P26 [get_ports {DATA_IN_N[16]}] set_property PACKAGE_PIN AE21 [get_ports {DATA_IN_N[2]}] set_property PACKAGE_PIN AF23 [get_ports {DATA_IN_N[3]}] set_property PACKAGE_PIN M20 [get_ports {DATA_IN_N[4]}] set_property PACKAGE_PIN V26 [get_ports {DATA_IN_N[6]}] set_property PACKAGE_PIN AA24 [get_ports {DATA_IN_N[7]}] set_property PACKAGE_PIN AC24 [get_ports {DATA_IN_N[8]}] set_property PACKAGE_PIN V22 [get_ports {DATA_IN_N[81]}] set_property PACKAGE_PIN Y21 [get_ports {DATA_IN_N[82]}] set_property PACKAGE_PIN Y26 [get_ports {DATA_IN_N[83]}] set_property PACKAGE_PIN T19 [get_ports {DATA_IN_N[84]}] set_property PACKAGE_PIN P21 [get_ports {DATA_IN_N[88]}] set_property PACKAGE_PIN AC22 [get_ports {DATA_IN_N[89]}] set_property PACKAGE_PIN T23 [get_ports {DATA_IN_N[9]}] set_property PACKAGE_PIN T25 [get_ports {DATA_IN_N[90]}] set_property PACKAGE_PIN AC26 [get_ports {DATA_IN_N[91]}] set_property PACKAGE_PIN L25 [get_ports {DATA_IN_N[95]}] set_property PACKAGE_PIN AF25 [get_ports {DATA_IN_N[96]}] set_property PACKAGE_PIN R25 [get_ports {DATA_IN_P[1]}] set_property PACKAGE_PIN P19 [get_ports {DATA_IN_P[10]}] set_property PACKAGE_PIN P16 [get_ports {DATA_IN_P[12]}] set_property PACKAGE_PIN U17 [get_ports {DATA_IN_P[13]}] set_property PACKAGE_PIN R16 [get_ports {DATA_IN_P[14]}] set_property PACKAGE_PIN U19 [get_ports {DATA_IN_P[15]}] set_property PACKAGE_PIN R26 [get_ports {DATA_IN_P[16]}] set_property PACKAGE_PIN AD21 [get_ports {DATA_IN_P[2]}] set_property PACKAGE_PIN AE23 [get_ports {DATA_IN_P[3]}] set_property PACKAGE_PIN N19 [get_ports {DATA_IN_P[4]}] set_property PACKAGE_PIN U26 [get_ports {DATA_IN_P[6]}] set_property PACKAGE_PIN Y23 [get_ports {DATA_IN_P[7]}] set_property PACKAGE_PIN AC23 [get_ports {DATA_IN_P[8]}] set_property PACKAGE_PIN U22 [get_ports {DATA_IN_P[81]}] set_property PACKAGE_PIN W20 [get_ports {DATA_IN_P[82]}] set_property PACKAGE_PIN Y25 [get_ports {DATA_IN_P[83]}] set_property PACKAGE_PIN T18 [get_ports {DATA_IN_P[84]}] set_property PACKAGE_PIN R21 [get_ports {DATA_IN_P[88]}] set_property PACKAGE_PIN AB22 [get_ports {DATA_IN_P[89]}] set_property PACKAGE_PIN T22 [get_ports {DATA_IN_P[9]}] set_property PACKAGE_PIN T24 [get_ports {DATA_IN_P[90]}] set_property PACKAGE_PIN AB26 [get_ports {DATA_IN_P[91]}] set_property PACKAGE_PIN M25 [get_ports {DATA_IN_P[95]}] set_property PACKAGE_PIN AF24 [get_ports {DATA_IN_P[96]}] set_property PACKAGE_PIN Y12 [get_ports {DATA_OUT_N[1]}] set_property PACKAGE_PIN AD5 [get_ports {DATA_OUT_N[10]}] set_property PACKAGE_PIN AA18 [get_ports {DATA_OUT_N[12]}] set_property PACKAGE_PIN W16 [get_ports {DATA_OUT_N[13]}] set_property PACKAGE_PIN AF15 [get_ports {DATA_OUT_N[14]}] set_property PACKAGE_PIN AC16 [get_ports {DATA_OUT_N[15]}] set_property PACKAGE_PIN AC12 [get_ports {DATA_OUT_N[16]}] set_property PACKAGE_PIN AC6 [get_ports {DATA_OUT_N[2]}] set_property PACKAGE_PIN AC17 [get_ports {DATA_OUT_N[3]}] set_property PACKAGE_PIN V19 [get_ports {DATA_OUT_N[4]}] set_property PACKAGE_PIN AC11 [get_ports {DATA_OUT_N[6]}] set_property PACKAGE_PIN AD14 [get_ports {DATA_OUT_N[7]}] set_property PACKAGE_PIN AE15 [get_ports {DATA_OUT_N[8]}] set_property PACKAGE_PIN AF4 [get_ports {DATA_OUT_N[81]}] set_property PACKAGE_PIN AC7 [get_ports {DATA_OUT_N[82]}] set_property PACKAGE_PIN AF2 [get_ports {DATA_OUT_N[83]}] set_property PACKAGE_PIN W5 [get_ports {DATA_OUT_N[84]}] set_property PACKAGE_PIN W3 [get_ports {DATA_OUT_N[88]}] set_property PACKAGE_PIN AC1 [get_ports {DATA_OUT_N[89]}] set_property PACKAGE_PIN AD19 [get_ports {DATA_OUT_N[9]}] set_property PACKAGE_PIN AB4 [get_ports {DATA_OUT_N[90]}] set_property PACKAGE_PIN AE2 [get_ports {DATA_OUT_N[91]}] set_property PACKAGE_PIN AF18 [get_ports {DATA_OUT_N[95]}] set_property PACKAGE_PIN AF7 [get_ports {DATA_OUT_N[96]}] set_property PACKAGE_PIN Y13 [get_ports {DATA_OUT_P[1]}] set_property PACKAGE_PIN AD6 [get_ports {DATA_OUT_P[10]}] set_property PACKAGE_PIN AA17 [get_ports {DATA_OUT_P[12]}] set_property PACKAGE_PIN W15 [get_ports {DATA_OUT_P[13]}] set_property PACKAGE_PIN AF14 [get_ports {DATA_OUT_P[14]}] set_property PACKAGE_PIN AB16 [get_ports {DATA_OUT_P[15]}] set_property PACKAGE_PIN AB12 [get_ports {DATA_OUT_P[16]}] set_property PACKAGE_PIN AB6 [get_ports {DATA_OUT_P[2]}] set_property PACKAGE_PIN AB17 [get_ports {DATA_OUT_P[3]}] set_property PACKAGE_PIN V18 [get_ports {DATA_OUT_P[4]}] set_property PACKAGE_PIN AB11 [get_ports {DATA_OUT_P[6]}] set_property PACKAGE_PIN AC14 [get_ports {DATA_OUT_P[7]}] set_property PACKAGE_PIN AD15 [get_ports {DATA_OUT_P[8]}] set_property PACKAGE_PIN AF5 [get_ports {DATA_OUT_P[81]}] set_property PACKAGE_PIN AB7 [get_ports {DATA_OUT_P[82]}] set_property PACKAGE_PIN AF3 [get_ports {DATA_OUT_P[83]}] set_property PACKAGE_PIN W6 [get_ports {DATA_OUT_P[84]}] set_property PACKAGE_PIN V3 [get_ports {DATA_OUT_P[88]}] set_property PACKAGE_PIN AB1 [get_ports {DATA_OUT_P[89]}] set_property PACKAGE_PIN AC19 [get_ports {DATA_OUT_P[9]}] set_property PACKAGE_PIN AA4 [get_ports {DATA_OUT_P[90]}] set_property PACKAGE_PIN AE3 [get_ports {DATA_OUT_P[91]}] set_property PACKAGE_PIN AE18 [get_ports {DATA_OUT_P[95]}] set_property PACKAGE_PIN AE7 [get_ports {DATA_OUT_P[96]}] set_property PACKAGE_PIN Y7 [get_ports {TRIG_OUT_N[1]}] set_property PACKAGE_PIN W4 [get_ports {TRIG_OUT_N[10]}] set_property PACKAGE_PIN V17 [get_ports {TRIG_OUT_N[12]}] set_property PACKAGE_PIN AA12 [get_ports {TRIG_OUT_N[13]}] set_property PACKAGE_PIN AF8 [get_ports {TRIG_OUT_N[14]}] set_property PACKAGE_PIN AA15 [get_ports {TRIG_OUT_N[15]}] set_property PACKAGE_PIN AE10 [get_ports {TRIG_OUT_N[16]}] set_property PACKAGE_PIN W14 [get_ports {TRIG_OUT_N[2]}] set_property PACKAGE_PIN AE16 [get_ports {TRIG_OUT_N[3]}] set_property PACKAGE_PIN AA20 [get_ports {TRIG_OUT_N[4]}] set_property PACKAGE_PIN AE11 [get_ports {TRIG_OUT_N[6]}] set_property PACKAGE_PIN Y18 [get_ports {TRIG_OUT_N[7]}] set_property PACKAGE_PIN AD18 [get_ports {TRIG_OUT_N[8]}] set_property PACKAGE_PIN AD3 [get_ports {TRIG_OUT_N[81]}] set_property PACKAGE_PIN W9 [get_ports {TRIG_OUT_N[82]}] set_property PACKAGE_PIN V1 [get_ports {TRIG_OUT_N[83]}] set_property PACKAGE_PIN U5 [get_ports {TRIG_OUT_N[84]}] set_property PACKAGE_PIN Y2 [get_ports {TRIG_OUT_N[88]}] set_property PACKAGE_PIN AC2 [get_ports {TRIG_OUT_N[89]}] set_property PACKAGE_PIN AD13 [get_ports {TRIG_OUT_N[9]}] set_property PACKAGE_PIN W11 [get_ports {TRIG_OUT_N[90]}] set_property PACKAGE_PIN AA7 [get_ports {TRIG_OUT_N[91]}] set_property PACKAGE_PIN AB20 [get_ports {TRIG_OUT_N[95]}] set_property PACKAGE_PIN AF13 [get_ports {TRIG_OUT_N[96]}] set_property PACKAGE_PIN Y8 [get_ports {TRIG_OUT_P[1]}] set_property PACKAGE_PIN V4 [get_ports {TRIG_OUT_P[10]}] set_property PACKAGE_PIN V16 [get_ports {TRIG_OUT_P[12]}] set_property PACKAGE_PIN AA13 [get_ports {TRIG_OUT_P[13]}] set_property PACKAGE_PIN AE8 [get_ports {TRIG_OUT_P[14]}] set_property PACKAGE_PIN AA14 [get_ports {TRIG_OUT_P[15]}] set_property PACKAGE_PIN AD10 [get_ports {TRIG_OUT_P[16]}] set_property PACKAGE_PIN V14 [get_ports {TRIG_OUT_P[2]}] set_property PACKAGE_PIN AD16 [get_ports {TRIG_OUT_P[3]}] set_property PACKAGE_PIN AA19 [get_ports {TRIG_OUT_P[4]}] set_property PACKAGE_PIN AD11 [get_ports {TRIG_OUT_P[6]}] set_property PACKAGE_PIN Y17 [get_ports {TRIG_OUT_P[7]}] set_property PACKAGE_PIN AC18 [get_ports {TRIG_OUT_P[8]}] set_property PACKAGE_PIN AD4 [get_ports {TRIG_OUT_P[81]}] set_property PACKAGE_PIN W10 [get_ports {TRIG_OUT_P[82]}] set_property PACKAGE_PIN V2 [get_ports {TRIG_OUT_P[83]}] set_property PACKAGE_PIN U6 [get_ports {TRIG_OUT_P[84]}] set_property PACKAGE_PIN Y3 [get_ports {TRIG_OUT_P[88]}] set_property PACKAGE_PIN AB2 [get_ports {TRIG_OUT_P[89]}] set_property PACKAGE_PIN AC13 [get_ports {TRIG_OUT_P[9]}] set_property PACKAGE_PIN V11 [get_ports {TRIG_OUT_P[90]}] set_property PACKAGE_PIN AA8 [get_ports {TRIG_OUT_P[91]}] set_property PACKAGE_PIN AB19 [get_ports {TRIG_OUT_P[95]}] set_property PACKAGE_PIN AE13 [get_ports {TRIG_OUT_P[96]}]