set_property PACKAGE_PIN N22 [get_ports {OUTN[0]}] set_property PACKAGE_PIN N24 [get_ports {OUTN[1]}] set_property PACKAGE_PIN R20 [get_ports {OUTN[2]}] set_property PACKAGE_PIN R23 [get_ports {OUTN[3]}] set_property PACKAGE_PIN AE25 [get_ports {OUTN[4]}] set_property PACKAGE_PIN U25 [get_ports {OUTN[5]}] set_property PACKAGE_PIN M22 [get_ports {OUTN[6]}] set_property PACKAGE_PIN M26 [get_ports {OUTN[7]}] set_property PACKAGE_PIN W21 [get_ports {OUTN[8]}] set_property PACKAGE_PIN L24 [get_ports {OUTN[9]}] set_property PACKAGE_PIN K26 [get_ports {OUTN[10]}] set_property PACKAGE_PIN AD24 [get_ports {OUTN[11]}] set_property PACKAGE_PIN AB25 [get_ports {OUTN[12]}] set_property PACKAGE_PIN V24 [get_ports {OUTN[13]}] set_property PACKAGE_PIN AF22 [get_ports {OUTN[14]}] set_property PACKAGE_PIN AA22 [get_ports {OUTN[15]}] set_property PACKAGE_PIN N23 [get_ports {OUTN[16]}] set_property PACKAGE_PIN W24 [get_ports {OUTN[17]}] set_property PACKAGE_PIN AC21 [get_ports {OUTN[18]}] set_property PACKAGE_PIN P18 [get_ports {OUTN[19]}] set_property PACKAGE_PIN AB24 [get_ports {OUTN[20]}] set_property PACKAGE_PIN AE26 [get_ports {OUTN[21]}] set_property PACKAGE_PIN M19 [get_ports {OUTN[22]}] set_property PACKAGE_PIN W26 [get_ports {OUTN[23]}] set_property PACKAGE_PIN AB10 [get_ports {OUTN[24]}] set_property PACKAGE_PIN AD9 [get_ports {OUTN[25]}] set_property PACKAGE_PIN V7 [get_ports {OUTN[26]}] set_property PACKAGE_PIN AD8 [get_ports {OUTN[27]}] set_property PACKAGE_PIN AF17 [get_ports {OUTN[28]}] set_property PACKAGE_PIN AF12 [get_ports {OUTN[29]}] set_property PACKAGE_PIN Y10 [get_ports {OUTN[30]}] set_property PACKAGE_PIN AB5 [get_ports {OUTN[31]}] set_property PACKAGE_PIN W19 [get_ports {OUTN[32]}] set_property PACKAGE_PIN AB9 [get_ports {OUTN[33]}] set_property PACKAGE_PIN AF9 [get_ports {OUTN[34]}] set_property PACKAGE_PIN Y16 [get_ports {OUTN[35]}] set_property PACKAGE_PIN AB15 [get_ports {OUTN[36]}] set_property PACKAGE_PIN AE5 [get_ports {OUTN[37]}] set_property PACKAGE_PIN AC3 [get_ports {OUTN[38]}] set_property PACKAGE_PIN AA2 [get_ports {OUTN[39]}] set_property PACKAGE_PIN Y1 [get_ports {OUTN[40]}] set_property PACKAGE_PIN U1 [get_ports {OUTN[41]}] set_property PACKAGE_PIN AE1 [get_ports {OUTN[42]}] set_property PACKAGE_PIN AE20 [get_ports {OUTN[43]}] set_property PACKAGE_PIN Y5 [get_ports {OUTN[44]}] set_property PACKAGE_PIN W8 [get_ports {OUTN[45]}] set_property PACKAGE_PIN V6 [get_ports {OUTN[46]}] set_property PACKAGE_PIN AF20 [get_ports {OUTN[47]}] set_property PACKAGE_PIN P25 [get_ports {OUTN[48]}] set_property PACKAGE_PIN P20 [get_ports {OUTN[49]}] set_property PACKAGE_PIN N17 [get_ports {OUTN[50]}] set_property PACKAGE_PIN T17 [get_ports {OUTN[51]}] set_property PACKAGE_PIN R17 [get_ports {OUTN[52]}] set_property PACKAGE_PIN U20 [get_ports {OUTN[53]}] set_property PACKAGE_PIN P26 [get_ports {OUTN[54]}] set_property PACKAGE_PIN AE21 [get_ports {OUTN[55]}] set_property PACKAGE_PIN AF23 [get_ports {OUTN[56]}] set_property PACKAGE_PIN M20 [get_ports {OUTN[57]}] set_property PACKAGE_PIN V26 [get_ports {OUTN[58]}] set_property PACKAGE_PIN AA24 [get_ports {OUTN[59]}] set_property PACKAGE_PIN AC24 [get_ports {OUTN[60]}] set_property PACKAGE_PIN V22 [get_ports {OUTN[61]}] set_property PACKAGE_PIN Y21 [get_ports {OUTN[62]}] set_property PACKAGE_PIN Y26 [get_ports {OUTN[63]}] set_property PACKAGE_PIN T19 [get_ports {OUTN[64]}] set_property PACKAGE_PIN P21 [get_ports {OUTN[65]}] set_property PACKAGE_PIN AC22 [get_ports {OUTN[66]}] set_property PACKAGE_PIN T23 [get_ports {OUTN[67]}] set_property PACKAGE_PIN T25 [get_ports {OUTN[68]}] set_property PACKAGE_PIN AC26 [get_ports {OUTN[69]}] set_property PACKAGE_PIN L25 [get_ports {OUTN[70]}] set_property PACKAGE_PIN AF25 [get_ports {OUTN[71]}] set_property PACKAGE_PIN Y12 [get_ports {OUTN[72]}] set_property PACKAGE_PIN AD5 [get_ports {OUTN[73]}] set_property PACKAGE_PIN AA18 [get_ports {OUTN[74]}] set_property PACKAGE_PIN W16 [get_ports {OUTN[75]}] set_property PACKAGE_PIN AF15 [get_ports {OUTN[76]}] set_property PACKAGE_PIN AC16 [get_ports {OUTN[77]}] set_property PACKAGE_PIN AC12 [get_ports {OUTN[78]}] set_property PACKAGE_PIN AC6 [get_ports {OUTN[79]}] set_property PACKAGE_PIN AC17 [get_ports {OUTN[80]}] set_property PACKAGE_PIN V19 [get_ports {OUTN[81]}] set_property PACKAGE_PIN AC11 [get_ports {OUTN[82]}] set_property PACKAGE_PIN AD14 [get_ports {OUTN[83]}] set_property PACKAGE_PIN AE15 [get_ports {OUTN[84]}] set_property PACKAGE_PIN AF4 [get_ports {OUTN[85]}] set_property PACKAGE_PIN AC7 [get_ports {OUTN[86]}] set_property PACKAGE_PIN AF2 [get_ports {OUTN[87]}] set_property PACKAGE_PIN W5 [get_ports {OUTN[88]}] set_property PACKAGE_PIN W3 [get_ports {OUTN[89]}] set_property PACKAGE_PIN AC1 [get_ports {OUTN[90]}] set_property PACKAGE_PIN AD19 [get_ports {OUTN[91]}] set_property PACKAGE_PIN AB4 [get_ports {OUTN[92]}] set_property PACKAGE_PIN AE2 [get_ports {OUTN[93]}] set_property PACKAGE_PIN AF18 [get_ports {OUTN[94]}] set_property PACKAGE_PIN AF7 [get_ports {OUTN[95]}] set_property PACKAGE_PIN Y7 [get_ports {OUTN[96]}] set_property PACKAGE_PIN W4 [get_ports {OUTN[97]}] set_property PACKAGE_PIN V17 [get_ports {OUTN[98]}] set_property PACKAGE_PIN AA12 [get_ports {OUTN[99]}] set_property PACKAGE_PIN AF8 [get_ports {OUTN[100]}] set_property PACKAGE_PIN AA15 [get_ports {OUTN[101]}] set_property PACKAGE_PIN AE10 [get_ports {OUTN[102]}] set_property PACKAGE_PIN W14 [get_ports {OUTN[103]}] set_property PACKAGE_PIN AE16 [get_ports {OUTN[104]}] set_property PACKAGE_PIN AA20 [get_ports {OUTN[105]}] set_property PACKAGE_PIN AE11 [get_ports {OUTN[106]}] set_property PACKAGE_PIN Y18 [get_ports {OUTN[107]}] set_property PACKAGE_PIN AD18 [get_ports {OUTN[108]}] set_property PACKAGE_PIN AD3 [get_ports {OUTN[109]}] set_property PACKAGE_PIN W9 [get_ports {OUTN[110]}] set_property PACKAGE_PIN V1 [get_ports {OUTN[111]}] set_property PACKAGE_PIN U5 [get_ports {OUTN[112]}] set_property PACKAGE_PIN Y2 [get_ports {OUTN[113]}] set_property PACKAGE_PIN AC2 [get_ports {OUTN[114]}] set_property PACKAGE_PIN AD13 [get_ports {OUTN[115]}] set_property PACKAGE_PIN W11 [get_ports {OUTN[116]}] set_property PACKAGE_PIN AA7 [get_ports {OUTN[117]}] set_property PACKAGE_PIN AB20 [get_ports {OUTN[118]}] set_property PACKAGE_PIN AF13 [get_ports {OUTN[119]}] set_property PACKAGE_PIN N21 [get_ports {OUTP[0]}] set_property PACKAGE_PIN P24 [get_ports {OUTP[1]}] set_property PACKAGE_PIN T20 [get_ports {OUTP[2]}] set_property PACKAGE_PIN R22 [get_ports {OUTP[3]}] set_property PACKAGE_PIN AD25 [get_ports {OUTP[4]}] set_property PACKAGE_PIN U24 [get_ports {OUTP[5]}] set_property PACKAGE_PIN M21 [get_ports {OUTP[6]}] set_property PACKAGE_PIN N26 [get_ports {OUTP[7]}] set_property PACKAGE_PIN V21 [get_ports {OUTP[8]}] set_property PACKAGE_PIN M24 [get_ports {OUTP[9]}] set_property PACKAGE_PIN K25 [get_ports {OUTP[10]}] set_property PACKAGE_PIN AD23 [get_ports {OUTP[11]}] set_property PACKAGE_PIN AA25 [get_ports {OUTP[12]}] set_property PACKAGE_PIN V23 [get_ports {OUTP[13]}] set_property PACKAGE_PIN AE22 [get_ports {OUTP[14]}] set_property PACKAGE_PIN Y22 [get_ports {OUTP[15]}] set_property PACKAGE_PIN P23 [get_ports {OUTP[16]}] set_property PACKAGE_PIN W23 [get_ports {OUTP[17]}] set_property PACKAGE_PIN AB21 [get_ports {OUTP[18]}] set_property PACKAGE_PIN R18 [get_ports {OUTP[19]}] set_property PACKAGE_PIN AA23 [get_ports {OUTP[20]}] set_property PACKAGE_PIN AD26 [get_ports {OUTP[21]}] set_property PACKAGE_PIN N18 [get_ports {OUTP[22]}] set_property PACKAGE_PIN W25 [get_ports {OUTP[23]}] set_property PACKAGE_PIN AA10 [get_ports {OUTP[24]}] set_property PACKAGE_PIN AC9 [get_ports {OUTP[25]}] set_property PACKAGE_PIN V8 [get_ports {OUTP[26]}] set_property PACKAGE_PIN AC8 [get_ports {OUTP[27]}] set_property PACKAGE_PIN AE17 [get_ports {OUTP[28]}] set_property PACKAGE_PIN AE12 [get_ports {OUTP[29]}] set_property PACKAGE_PIN Y11 [get_ports {OUTP[30]}] set_property PACKAGE_PIN AA5 [get_ports {OUTP[31]}] set_property PACKAGE_PIN W18 [get_ports {OUTP[32]}] set_property PACKAGE_PIN AA9 [get_ports {OUTP[33]}] set_property PACKAGE_PIN AF10 [get_ports {OUTP[34]}] set_property PACKAGE_PIN Y15 [get_ports {OUTP[35]}] set_property PACKAGE_PIN AB14 [get_ports {OUTP[36]}] set_property PACKAGE_PIN AE6 [get_ports {OUTP[37]}] set_property PACKAGE_PIN AC4 [get_ports {OUTP[38]}] set_property PACKAGE_PIN AA3 [get_ports {OUTP[39]}] set_property PACKAGE_PIN W1 [get_ports {OUTP[40]}] set_property PACKAGE_PIN U2 [get_ports {OUTP[41]}] set_property PACKAGE_PIN AD1 [get_ports {OUTP[42]}] set_property PACKAGE_PIN AD20 [get_ports {OUTP[43]}] set_property PACKAGE_PIN Y6 [get_ports {OUTP[44]}] set_property PACKAGE_PIN V9 [get_ports {OUTP[45]}] set_property PACKAGE_PIN U7 [get_ports {OUTP[46]}] set_property PACKAGE_PIN AF19 [get_ports {OUTP[47]}] set_property PACKAGE_PIN R25 [get_ports {OUTP[48]}] set_property PACKAGE_PIN P19 [get_ports {OUTP[49]}] set_property PACKAGE_PIN P16 [get_ports {OUTP[50]}] set_property PACKAGE_PIN U17 [get_ports {OUTP[51]}] set_property PACKAGE_PIN R16 [get_ports {OUTP[52]}] set_property PACKAGE_PIN U19 [get_ports {OUTP[53]}] set_property PACKAGE_PIN R26 [get_ports {OUTP[54]}] set_property PACKAGE_PIN AD21 [get_ports {OUTP[55]}] set_property PACKAGE_PIN AE23 [get_ports {OUTP[56]}] set_property PACKAGE_PIN N19 [get_ports {OUTP[57]}] set_property PACKAGE_PIN U26 [get_ports {OUTP[58]}] set_property PACKAGE_PIN Y23 [get_ports {OUTP[59]}] set_property PACKAGE_PIN AC23 [get_ports {OUTP[60]}] set_property PACKAGE_PIN U22 [get_ports {OUTP[61]}] set_property PACKAGE_PIN W20 [get_ports {OUTP[62]}] set_property PACKAGE_PIN Y25 [get_ports {OUTP[63]}] set_property PACKAGE_PIN T18 [get_ports {OUTP[64]}] set_property PACKAGE_PIN R21 [get_ports {OUTP[65]}] set_property PACKAGE_PIN AB22 [get_ports {OUTP[66]}] set_property PACKAGE_PIN T22 [get_ports {OUTP[67]}] set_property PACKAGE_PIN T24 [get_ports {OUTP[68]}] set_property PACKAGE_PIN AB26 [get_ports {OUTP[69]}] set_property PACKAGE_PIN M25 [get_ports {OUTP[70]}] set_property PACKAGE_PIN AF24 [get_ports {OUTP[71]}] set_property PACKAGE_PIN Y13 [get_ports {OUTP[72]}] set_property PACKAGE_PIN AD6 [get_ports {OUTP[73]}] set_property PACKAGE_PIN AA17 [get_ports {OUTP[74]}] set_property PACKAGE_PIN W15 [get_ports {OUTP[75]}] set_property PACKAGE_PIN AF14 [get_ports {OUTP[76]}] set_property PACKAGE_PIN AB16 [get_ports {OUTP[77]}] set_property PACKAGE_PIN AB12 [get_ports {OUTP[78]}] set_property PACKAGE_PIN AB6 [get_ports {OUTP[79]}] set_property PACKAGE_PIN AB17 [get_ports {OUTP[80]}] set_property PACKAGE_PIN V18 [get_ports {OUTP[81]}] set_property PACKAGE_PIN AB11 [get_ports {OUTP[82]}] set_property PACKAGE_PIN AC14 [get_ports {OUTP[83]}] set_property PACKAGE_PIN AD15 [get_ports {OUTP[84]}] set_property PACKAGE_PIN AF5 [get_ports {OUTP[85]}] set_property PACKAGE_PIN AB7 [get_ports {OUTP[86]}] set_property PACKAGE_PIN AF3 [get_ports {OUTP[87]}] set_property PACKAGE_PIN W6 [get_ports {OUTP[88]}] set_property PACKAGE_PIN V3 [get_ports {OUTP[89]}] set_property PACKAGE_PIN AB1 [get_ports {OUTP[90]}] set_property PACKAGE_PIN AC19 [get_ports {OUTP[91]}] set_property PACKAGE_PIN AA4 [get_ports {OUTP[92]}] set_property PACKAGE_PIN AE3 [get_ports {OUTP[93]}] set_property PACKAGE_PIN AE18 [get_ports {OUTP[94]}] set_property PACKAGE_PIN AE7 [get_ports {OUTP[95]}] set_property PACKAGE_PIN Y8 [get_ports {OUTP[96]}] set_property PACKAGE_PIN V4 [get_ports {OUTP[97]}] set_property PACKAGE_PIN V16 [get_ports {OUTP[98]}] set_property PACKAGE_PIN AA13 [get_ports {OUTP[99]}] set_property PACKAGE_PIN AE8 [get_ports {OUTP[100]}] set_property PACKAGE_PIN AA14 [get_ports {OUTP[101]}] set_property PACKAGE_PIN AD10 [get_ports {OUTP[102]}] set_property PACKAGE_PIN V14 [get_ports {OUTP[103]}] set_property PACKAGE_PIN AD16 [get_ports {OUTP[104]}] set_property PACKAGE_PIN AA19 [get_ports {OUTP[105]}] set_property PACKAGE_PIN AD11 [get_ports {OUTP[106]}] set_property PACKAGE_PIN Y17 [get_ports {OUTP[107]}] set_property PACKAGE_PIN AC18 [get_ports {OUTP[108]}] set_property PACKAGE_PIN AD4 [get_ports {OUTP[109]}] set_property PACKAGE_PIN W10 [get_ports {OUTP[110]}] set_property PACKAGE_PIN V2 [get_ports {OUTP[111]}] set_property PACKAGE_PIN U6 [get_ports {OUTP[112]}] set_property PACKAGE_PIN Y3 [get_ports {OUTP[113]}] set_property PACKAGE_PIN AB2 [get_ports {OUTP[114]}] set_property PACKAGE_PIN AC13 [get_ports {OUTP[115]}] set_property PACKAGE_PIN V11 [get_ports {OUTP[116]}] set_property PACKAGE_PIN AA8 [get_ports {OUTP[117]}] set_property PACKAGE_PIN AB19 [get_ports {OUTP[118]}] set_property PACKAGE_PIN AE13 [get_ports {OUTP[119]}] set_property PACKAGE_PIN E23 [get_ports {CLK_IN_K1_N}] set_property PACKAGE_PIN F22 [get_ports {CLK_IN_K1_P}]