----------GLINK_SIGNALS:
SL_DAT : out std_logic_vector(19 downto 0);
SL_EHCLKSEL : out std_logic;
SL_FLAGSEL : out std_logic;
SL_FLAG : out std_logic;
SL_FF : out std_logic;
SL_HCLKON : out std_logic;
SL_LOCKED : in std_logic;
SL_LOOPEN : out std_logic;
SL_LOUT1 : in std_logic;
SL_LOUT2 : in std_logic;
SL_MDFSEL : out std_logic;
SL_M20SEL : out std_logic;
SL_RST : out std_logic;
SL_CAV : out std_logic;
SL_DAV : out std_logic;
SL_STRBIN : out std_logic;
SL_ED : out std_logic;
SL_INV : in std_logic;
SL_RFD : in std_logic;

SL_RST <='1' when (to_integer(unsigned(sl_rst_srl)))=0 else '0'; ---active low!!!!
SL_MDFSEL <= '0';
SL_ED <='1'; -- always enabled !
 

SL_EHCLKSEL <= GND;
SL_FLAGSEL <= GND;
SL_FLAG <= GND;
SL_HCLKON <= GND;
SL_LOOPEN <= GND;
SL_CAV <= VCC;
SL_M20SEL <= VCC;
SL_FF <= VCC;
----
SL_STRBIN <= CLK40;
 

 

 

 

 

 

Tx I/O Definition

Name Pin Type Signal

cap0/1 ext

CAV*  not req

D0-D19 x

DAV*  x

DIV0 ?

DIV1 ?

DOUT  ext

DOUT* ext

ED ?

EHCLKSEL ?

FF ?

FLAG ?

FLAGSEL

HCLK

HCLK*

HCLKON

INV

LOCKED

LOOPEN

LOUT

LOUT*

M20SEL .

MDFSEL

STRBOUT.

RFD

RST*

STRBIN

STRBOUT

TEMP

TEMP*